首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Vivado高效设计案例分享大赛---17.从Vivado HLS到System Generator

Vivado高效设计案例分享大赛---17.从Vivado HLS到System Generator

在以往的设计方法中,我们可以直接把Simulink模块生成C代码/HDL代码,也可以把System Generator模型直接生成HDL代码,但是把C代码转换生成System Generator模型的方法看起来很新鲜。现在有了Vivado HLS,为我们基于模型的设计(MBD)方法增加了这样的设计途径了。下面我们通过几个步骤看一下如何把基于C/C++/SystemC的算法给直接生成System Generator模型中可以直接使用的模块。       首先,打开或者建立一个Vivado HLS的工程,然后把C代码进行高层次综合,如图1所示。[[wysiwyg_imageupload:1257:]]图1 在Vivado HLS中对方案进行综合       点击图1中的步骤1,在完成对算法的综合之后,我们接下来就可以导出RTL了,点击图1中的步骤2:Export RTL。此时,我们可以选择RTL导出的格式,如图2所示。[[wysiwyg_imageupload:1258:]]图2 从Vivado HLS导出       在图2中,我们可以把综合后的结果直接生成IP并添加到IP列表,也可以生成System Generator for Vivado或者System Generator for ISE的模块,或者是EDK中可以调用的IP即Pcore,或者是直接把综合结果输出,直接在现有的Vivado工程中使用,这里我们选择生成供System Generator for Vivado使用的模块。       接下来的任务就是在System Generator中使用生成的模块了。在System Generator中的Xilinx工具箱下面,在Control Logic的最后面找到Vivado HLS,如图3所示。[[wysiwyg_imageupload:1259:]]图3 选择Vivado HLS模块       把图3中的模块拖入模型之后,双击模块,就可以设置导入来源了,这里的导入来源是本文最开始我们综合使用的Vivado HLS解决方案,如图4所示。[[wysiwyg_imageupload:1260:]]图4 选择要使用的Vivado HLS解决方案       确认之后,我们就能在System Generator中使用Vivado HLS的高级综合结果了,如图5所示。System Generator与Vivado HLS这两个高层次的开发工具,就这样被我们同时利用起来了。[[wysiwyg_imageupload:1261:]]图5 SysGen中的Vivado HLS模块
记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表