首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ModelSim手动配置方法

ModelSim手动配置方法

1. Library unisim not found.


在网上查了一下,是需要新建一个library,并命名unisim,然后在Modelsim.ini中建立和映射这个库,然后找到下面两个文件,


然后在ModelSim命令行中输入vcom -work unisim D:/Xilinx/14.3/ISE_DS/ISE/vhdl/src/unisims/unisim_VPKG.vhd和vcom -work unisim D:/Xilinx/14.3/ISE_DS/ISE/vhdl/src/unisims/unisim_VCOMP.vhd
错误解除!

2. 第一个错误通过之后,以为已经搞定,又出现下一个错误,原来Xilinx的仿真库没有编译进Modelsim中


有点坑啊,在网上找答案的时候发现上面解决第一个问题的方法也不是长久之计,因为在下一次使用Modelsim的时候查找Modelsim.ini启动时,是无法找到unisim这个库的,也就是上面的方法是一个临时方法。后来在网上找到了答案,先用compxlib工具(在ISE commad输入compxlib启动即可)将仿真库链接到Modelsim中。经过20分钟左右编译完成,灰常兴奋,又在Modelsim编译,发现还是刚才的错误,这是咋回事啊,我一看Modelsim安装目录下的Modelsim.ini的内容没有丝毫变化啊,那要是错误解除就怪了,我又找了下刚才编译完的库文件夹,发现里边也有一个叫Modelsim.ini的文件,打开一看好嘛库都链接上了,赶紧把链接复制到Modelsim下的Modelsim.ini中(Library和vcom中间),再一次尝试OK,问题解决,波形出现!!!

记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表