首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

资深高手指路,FPGA学习更简单

资深高手指路,FPGA学习更简单

大家应该都知道FPGA,也就是现场可编程逻辑门阵列。它是在PAL、GAL、CPLD等可编程逻辑器件的基础上进一步发展的产物。它是作为专用集成电路领域中的一种半定制电路而出现的,既解决了全定制电路的不足,又克服了原有可编程逻辑器件门电路数有限的缺点。那么究竟它有哪些方面的特性呢?且听我们一一分解。
什么是FPGA
FPGA是现场可编程逻辑阵列的首字母缩写。是一种可进行重复编程的逻辑组件阵列。其原理,以一个sram型fpga的4输入LUT为例,就是使用一个地址为4位,位宽为1位的sram作为查找表,理论上可以实现任何4输入1输出的逻辑操作。
  
FPGA的作用之一,就是给芯片设计提供一个原型设计,比如CPU芯片,可以在FPGA上验证无误后再流片。因为进行一次流片的费用很高,所以原型系统可以最小程度降低风险。
  
FPGA作用之二,就是直接作为小批量的产品(市场小于1百万片)组件。FPGA主要用于对性能比较敏感的部分功能实现,尤其是在网络,音视频处理,通信基带处理方面。
  
一般来讲,随着处理器的性能提升,FPGA在整个系统中的地位在下降。比如在信号处理领域,FPGA一般只作为DSP的协处理加速器使用,所以现在的FPGA厂家已经把产品设计的越来越象可编程SOC系统了。随着高层次综合技术的成熟,FPGA的编程也越来越智能化,也许有一天,FPGA会成为和DSP/CPU一样的器件。
  
作为可定制的数字逻辑电路,你可以把FPGA理解为一颗可以自定义功能的芯片。应用领域既很宽又很窄。宽是因为可以用在几乎任何需要数字电路的领域,窄是因为由于成本,功耗,开发难度等原因,在每个领域都无法占统治地位。综上原因,fpga是为特殊需要而生的,注定无法是大众的。
  
举个例子,通信领域是fpga应用最广的,出货量最大的应该是lattice给三叔用在手机上的那颗超小超便宜的。但基本上是因为传感器太多,老的处理器不支持,新的处理器还没流片,手机又要急着发布,先拿来顶一下。
  
设备商是altera,xilinx最大的客户,但是一般是前几版用一下,后面一看,哎哟,量还挺大,不如流片算了,后面就不用了。
  
然后是医疗,工控这些设备,传感器太多,cpu,gpu,arm,接口太少玩不转,主频才3个g处理能力太差。量太少又不值得流片。然后会用。还有高端安防,视频矩阵,大屏拼接也是类似。然后是超算啦,不过那都是高富帅公司自己玩的。
  
designhouse一般玩什么?用于某种特殊应用。比如数据采集上传,用来做器件胶水,某芯片功能简单,性能一般价格太离谱,自己做一个替换。等等。一般不会太复杂,但是时间都比较紧。fpga的门槛比单片机,cpu,什么的高多了。
  
大致分两个主要用途

1.SOC,片上集成系统的设计。
在出现FPGA之前,数字系统的设计是十分复杂的,工程师们设计好电路,进行小批量生产验证再修改。费时费力,FPGA出现后,就可以再FPGA上先进行数字逻辑验证,也就是所谓的编程。下载程序后进行功能验证。修改代码肯定是比小批量生产代价小的多。功能验证后进行布线的优化等等步骤就可以生产成特定的数字逻辑芯片。
  
2.算法硬件化。
原先处理数字信号处理时有专门的器件也就是DSP,但是FPGA发展迅速,随着片上集成门数量的增加已经有取代DSP的趋势。简单想基本的高低通数字滤波器,典型的就是FFT快速傅里叶变换,复杂如卡尔曼滤波这些理论算法就可以通过FPGA实现,优点就是并行输出速度快。
  
当然物尽其用,我个人觉得用FPGA做控制之类的也能做,可明显不如单片机方便,并行输出对于串行的控制简直就是灾难,当然一些特殊的技巧也可以有效的解决这些问题,比如入门级的仿顺序操作。
  
这里呢有一个误区,上面我也提到了所谓的FPGA编程,实际上我也花了很久时间才悟出这个道理,就是FPGA应称之为建模,硬件描述语音只是替代了一个个与或非门而已。通过组建一个个模块,并把它们对应的接口连接。就像电学里长提到的黑盒子一样,完成建模的FPGA就只有输入输出了。
  
FPGA的优劣势

作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA的主要优点有以下几点:
  ①大量的输入输出引脚被整合进芯片,它可以提供的扩展性是市面上其他芯片无法比拟的;
  ②用户可以直接得到可用的芯片;
  ③它还可以做成全定制或者半定制的实验性芯片;
  ④FPGA芯片有着强大的处理能力,对多个变量实现任何逻辑。
 
FPGA包含一个可擦除ROM,通电后在极短的时间内立即进入工作状态。由于这些优点,使得FFT处理器在FPGA上的实现变得特别适合。FPGA技术近两年体积、速度、灵活性等各种性能都优于DSP。
  
目前,FPGA容量已经跨过了百万门级,不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,这些因素促使FPGA
越来越多地取代了ASIC 甚至DSP 的市场,成为解决系统级设计的重要选择方案之一。

verilog
HDL可以直接控制硬件,控制硬件在某个时刻需要处理的任务,并且可以直接生成pof固化文件,对FPGA内部的逻辑器件进行编辑,可以直接从硬件上处理任务。
  
总结起FPGA的优点,就是一个字,快!快有什么用?在通信领域可以说FPGA是跟开了花一样的好用。通信最基本的FFT变换,FPGA完成起来只要DSP芯片的四分之一左右。同时,FPGA的管脚可以很多,从80到380个管脚,甚至更多。管脚多的好处就是不用往外弄一堆扩展。要知道,扩展是要牺牲性能的,性能牺牲了,FPGA上“快”的优点就打折扣了。而单片机的管脚,只有区区那几个。DSP虽然也有不少管脚,但是内部的灵活性很低,速度也没FPGA快。
  
另外需要解释一下为什么通信领域需要速度极快的芯片:
  
第一点就是通信基本的FFT变换,运算量非常大,他是大到你无法想象的。而且变换完很多情况要立即对信号进行处理,处理完逆变换。
  
第二点,就是通信的实时性很重要。比如你讲电话,或者发送文件。首先芯片要在你的信源编码一次,然后又要在信道编码一次。接收方同样要解码两次。有时候为了防止通信内容被盗,还要认认真真的加密解密。最简单的例子就是你打电话,如果周围环境很吵闹,像IPhone会自动将噪声抵掉,保留人说话的声音发送出去。这中间,Iphone收到你说话的声音,就是第一道模拟转数字,高级点的要FFT正变换成频谱,接着数字信号处理将噪声去除,再FFT逆变换成波形,信源编码,信道编码,可选加密,最后发送出去。你看双方讲电话的时候,会有明显的延迟吗?答案是不会的,而且这种速度快到你感觉就是面对面在说话。想要达到这种速度,就需要非常高的速度。FPGA胜任。
  
最后,说说FPGA的缺点。就是芯片贵。。。。虽然FPGA能做51单片机,DSP等的工作,但是就是一个字:贵!51单片机最便宜的也就几块钱,而FPGA可是几十几百的啊。在一些简单的机械,比如数码万年历,那单片机用起来可是又便宜又好用。
  
FPGA的主要应用方向
  
目前FPGA的应用主要是三个方向:
  
第一个方向,也是传统方向主要用于通信设备的高速接口电路设计,这一方向主要是用FPGA处理高速接口的协议,并完成高速的数据收发和交换。
  
这类应用通常要求采用具备高速收发接口的FPGA,同时要求设计者懂得高速接口电路设计和高速数字电路板级设计,具备EMC/EMI设计知识,以及较好的模拟电路基础,需要解决在高速收发过程中产生的信号完整性问题。
  
FPGA最初以及到目前最广的应用就是在通信领域,一方面通信领域需要高速的通信协议处理方式,另一方面通信协议随时在修改,非常不适合做成专门的芯片。因此能够灵活改变功能的FPGA就成为首选。到目前为止FPGA的一半以上的应用也是在通信行业。
  
第二个方向,可以称为数字信号处理方向或者数学计算方向,因为很大程度上这一方向已经大大超出了信号处理的范畴。
  
例如早就在2006年就听说老美将FPGA用于金融数据分析,后来又见到有将FPGA用于医学数据分析的案例。在这一方向要求FPGA设计者有一定的数学功底,能够理解并改进较为复杂的数学算法,并利用FPGA内部的各种资源使之能够变为实际的运算电路。
  
目前真正投入实用的还是在通信领域的无线信号处理、信道编解码以及图像信号处理等领域,其它领域的研究正在开展中,之所以没有大量实用的主要原因还是因为学金融的、学医学的不了解这玩意。
  
不过最近发现欧美有很多电子工程、计算机类的博士转入到金融行业,开展金融信号处理,相信随着转入的人增加,FPGA在其它领域的数学计算功能会更好的发挥出来,而我也有意做一些这些方面的研究。不过国内学金融的、学医的恐怕连数学都很少用到,就不用说用FPGA来帮助他们完成数学运算了,这个问题只有再议了。
  
第三个方向就是所谓的SOPC方向,其实严格意义上来说这个已经在FPGA设计的范畴之内,只不过是利用FPGA这个平台搭建的一个嵌入式系统的底层硬件环境,然后设计者主要是在上面进行嵌入式软件开发而已。设计对于FPGA本身的设计时相当少的。但如果涉及到需要在FPGA做专门的算法加速,实际上需要用到第二个方向的知识,而如果需要设计专用的接口电路则需要用到第一个方向的知识。
  
就目前SOPC方向发展其实远不如第一和第二个方向,其主要原因是因为SOPC以FPGA为主,或者是在FPGA内部的资源实现一个“软”的处理器,或者是在FPGA内部嵌入一个处理器核。但大多数的嵌入式设计却是以软件为核心,以现有的硬件发展情况来看,多数情况下的接口都已经标准化,并不需要那么大的FPGA逻辑资源去设计太过复杂的接口。
  
而且就目前看来SOPC相关的开发工具还非常的不完善,以ARM为代表的各类嵌入式处理器开发工具却早已深入人心,大多数以ARM为核心的SOC芯片提供了大多数标准的接口,大量成系列的单片机/嵌入式处理器提供了相关行业所需要的硬件加速电路,需要专门定制硬件场合确实很少。通常是在一些特种行业才会在这方面有非常迫切的需求。即使目前Xilinx将ARM的硬核加入到FPGA里面,相信目前的情况不会有太大改观,不要忘了很多老掉牙的8位单片机还在嵌入式领域混呢,嵌入式主要不是靠硬件的差异而更多的是靠软件的差异来体现价值的。
  
我曾经看好的是cypress的Psoc这一想法。和SOPC系列不同,Psoc的思想史载SOC芯片里面去嵌入那么一小块FPGA,那这样其实可以满足嵌入式的那些微小的硬件接口差异,比如某个运用需要4个USB,而通常的处理器不会提供那么多,就可以用这么一块FPGA来提供多的USB接口。而另一种运用需要6个UART,也可以用同样的方法完成。
  
对于嵌入式设计公司来说他们只需要备货一种芯片,就可以满足这些设计中各种微小的差异变化。其主要的差异化仍然是通过软件来完成。但目前cypress过于封闭,如果其采用ARM作为处理器内核,借助其完整的工具链。同时开放IP合作,让大量的第三方为它提供IP设计,其实是很有希望的。但目前cypress的日子怕不太好过,Psoc的思想也不知道何时能够发光。
  
为什么FPGA那么难学
  
最后,相信大量的人会觉得FPGA难学?作为著名FPGA提供商Altera授权的金牌培训师,我决心开贴来详细讲一下菜鸟觉得FPGA难学的几大原因。
  
1.不熟悉FPGA的内部结构,不了解可编程逻辑器件的基本原理。
  
FPGA为什么是可以编程的?恐怕很多菜鸟不知道,他们也不想知道。因为他们觉得这是无关紧要的。他们潜意识的认为可编程嘛,肯定就是像写软件一样啦。软件编程的思想根深蒂固,看到Verilog或者VHDL就像看到C语言或者其它软件编程语言一样。一条条的读,一条条的分析。如果这些菜鸟们始终拒绝去了解为什么FPGA是可以编程的,不去了解FPGA的内部结构,要想学会FPGA恐怕是天方夜谭。虽然现在EDA软件已经非常先进,像写软件那样照猫画虎也能综合出点东西,但也许只有天知道EDA软件最后综合出来的到底是什么。也许点个灯,跑个马还行。这样就是为什么很多菜鸟学了N久以后依然是一个菜鸟的原因。
  
那么FPGA为什么是可以“编程”的呢?首先来了解一下什么叫“程”。启示“程”只不过是一堆具有一定含义的01编码而已。编程,其实就是编写这些01编码。只不过我们现在有了很多开发工具,通常都不是直接编写这些01编码,而是以高级语言的形式来编写,最后由开发工具转换为这种01编码而已。对于软件编程而言,处理器会有一个专门的译码电路逐条把这些01编码翻译为各种控制信号,然后控制其内部的电路完成一个个的运算或者是其它操作。所以软件是一条一条的读,因为软件的操作是一步一步完成的。
  
而FPGA得可编程,本质也是依靠这些01编码实现其功能的改变,但不同的是FPGA之所以可以完成不同的功能,不是依靠像软件那样将01编码翻译出来再去控制一个运算电路,FPGA里面没有这些东西。FPGA内部主要三块:可编程的逻辑单元、可编程的连线和可编程的IO模块。
  
可编程的逻辑单元是什么?其基本结构某种存储器(SRAM、FLASH等)制成的4输入或6输入1输出地“真值表”加上一个D触发器构成。任何一个4输入1输出组合逻辑电路,都有一张对应的“真值表”,同样的如果用这么一个存储器制成的4输入1输出地“真值表”,只需要修改其“真值表”内部值就可以等效出任意4输入1输出的组合逻辑。
  
这些“真值表”内部值是什么?就是那些01编码而已。如果要实现时序逻辑电路怎么办?这不又D触发器嘛,任何的时序逻辑都可以转换为组合逻辑 D触发器来完成。但这毕竟只实现了4输入1输出的逻辑电路而已,通常逻辑电路的规模那是相当的大哦。那怎么办呢?这个时候就需要用到可编程连线了。在这些连线上有很多用存储器控制的链接点,通过改写对应存储器的值就可以确定哪些线是连上的而哪些线是断开的。这就可以把很多可编程逻辑单元组合起来形成大型的逻辑电路。
  
最后就是可编程的IO,这其实是FPGA作为芯片级使用必须要注意的。任何芯片都必然有输入引脚和输出引脚。有可编程的IO可以任意的定义某个非专用引脚(FPGA中有专门的非用户可使用的测试、下载用引脚)为输入还是输出,还可以对IO的电平标准进行设置。
  
总归一句话,FPGA之所以可编程是因为可以通过特殊的01代码制作成一张张“真值表”,并将这些“真值表”组合起来以实现大规模的逻辑功能。不了解FPGA内部结构,就不能明白最终代码如何变到FPGA里面去的。也就无法深入的了解如何能够充分运用FPGA。
  
现在的FPGA,不单单是有前面讲的那三块,还有很多专用的硬件功能单元,如何利用好这些单元实现复杂的逻辑电路设计,是从菜鸟迈向高手的路上必须要克服的障碍。而这一切,还是必须先从了解FPGA内部逻辑及其工作原理做起。
  
2.错误理解HDL语言,怎么看都看不出硬件结构。

HDL语言的英语全称是:Hardware Description Language,注意这个单词Description,而不是Design。老外为什么要用Description这个词而不是Design呢?因为HDL确实不是用用来设计硬件的,而仅仅是用来描述硬件的。描述这个词精确地反映了HDL语言的本质,HDL语言不过是已知硬件电路的文本表现形式而已,只是将以后的电路用文本的形式描述出来而已。而在编写语言之前,硬件电路应该已经被设计出来了。
  
语言只不过是将这种设计转化为文字表达形式而已。但是很多人就不理解了,既然硬件都已经被设计出来了,直接拿去制作部就完了,为什么还要转化为文字表达形式再通过EDA工具这些麻烦的流程呢?其实这就是很多菜鸟没有了解设计的抽象层次的问题,任何设计包括什么服装、机械、广告设计都有一个抽象层次的问题。就拿广告设计来说吧,最初的设计也许就是一个概念,设计出这个概念也是就是一个点子而已,离最终拍成广告还差得很远。
  
硬件设计也是有不同的抽象层次,没一个层次都需要设计。最高的抽象层次为算法级、然后依次是体系结构级、寄存器传输级、门级、物理版图级。
  
使用HDL的好处在于我们已经设计好了一个寄存器传输级的电路,那么用HDL描述以后转化为文本的形式,剩下的向更低层次的转换就可以让EDA工具去做了,者就大大的降低了工作量。这就是可综合的概念,也就是说在对这一抽象层次上硬件单元进行描述可以被EDA工具理解并转化为底层的门级电路或其他结构的电路。
  
在FPGA设计中,就是在将这以抽象层级的意见描述成HDL语言,就可以通过FPGA开发软件转化为问题1中所述的FPGA内部逻辑功能实现形式。HDL也可以描述更高的抽象层级如算法级或者是体系结构级,但目前受限于EDA软件的发展,EDA软件还无法理解这么高的抽象层次,所以HDL描述这样抽象层级是无法被转化为较低的抽象层级的,这也就是所谓的不可综合。
  
所以在阅读或编写HDL语言,尤其是可综合的HDL,不应该看到的是语言本身,而是要看到语言背后所对应的硬件电路结构。如果看到的HDL始终是一条条的代码,那么这种人永远摆脱不了菜鸟的宿命。假如哪一天看到的代码不再是一行行的代码而是一块一块的硬件模块,那么恭喜脱离了菜鸟的级别,进入不那么菜的鸟级别。
  
3.FPGA本身不算什么,一切皆在FPGA之外。
  
这一点恐怕也是很多学FPGA的菜鸟最难理解的地方。FPGA是给谁用的?很多学校解释为给学微电子专业或者集成电路设计专业的学生用的,其实这不过是很多学校受资金限制,卖不起专业的集成电路设计工具而用FPGA工具替代而已。其实FPGA是给设计电子系统的工程师使用的。这些工程师通常是使用已有的芯片搭配在一起完成一个电子设备,如基站、机顶盒、视频监控设备等。当现有芯片无法满足系统的需求时,就需要用FPGA来快速的定义一个能用的芯片。
  
前面说了,FPGA里面无法就是一些“真值表”、触发器、各种连线以及一些硬件资源,电子系统工程师使用FPGA进行设计时无非就是考虑如何将这些以后资源组合起来实现一定的逻辑功能而已,而不必像IC设计工程师那样一直要关注到最后芯片是不是能够被制造出来。本质上和利用现有芯片组合成不同的电子系统没有区别,只是需要关注更底层的资源而已。
  
要想把FPGA用起来还是简单的,因为无法就是那些资源,在理解了前面两点再搞个实验板,跑跑实验,做点简单的东西是可以的。而真正要把FPGA用好,那光懂点FPGA知识就远远不够了。因为最终要让FPGA里面的资源如何组合,实现何种功能才能满足系统的需要,那就需要懂得更多更广泛的知识。
  
4.数字逻辑知识是根本。
  
无论是FPGA的哪个方向,都离不开数字逻辑知识的支撑。FPGA说白了是一种实现数字逻辑的方式而已。如果连最基本的数字逻辑的知识都有问题,学习FPGA的愿望只是空中楼阁而已。而这,恰恰是很多菜鸟最不愿意去面对的问题。数字逻辑是任何电子电气类专业的专业基础知识,也是必须要学好的一门课。很多人无非是学习了,考个试,完了。如果不能将数字逻辑知识烂熟于心,养成良好的设计习惯,学FPGA到最后仍然是雾里看花水中望月,始终是一场空的。
  
以上四条只是我目前总结菜鸟们在学习FPGA时所最容易跑偏的地方,FPGA的学习其实就像学习围棋一样,学会如何在棋盘上落子很容易,成为一位高手却是难上加难。要真成为李昌镐那样的神一般的选手,除了靠刻苦专研,恐怕还确实得要一点天赋。

文章来源:嵌入式资讯精选微信
记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表