首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Spartan-3 FPGA 系列中高效 PCB 布局的LVDS 信号倒相

Spartan-3 FPGA 系列中高效 PCB 布局的LVDS 信号倒相

摘要:
        在比较简单的未大量使用过孔的四层或六层 [url=linkCB|0]PCB[/url] 上,可能很难对 [url=linkVDS|0]LVDS[/url] 或 LVPECL 这类差分信号布线。其原因是,驱动器上的正极引脚必须驱动接收器上的相应正极引脚,而负极引脚则必须驱动接收器的负极引脚。有时迹线以错误的方向结束,这实际上是向电路中添加了一个倒相器。

         本应用指南说明 Spartan™- 3 FPGA 系列如何仅通过在接收器数据通路中加入一个倒相器即可避免大量使用过孔,并且在不要求 PCB 重新设计的情况下即可解决意外的 PCB 迹线交换问题。这项技术同样适用于将 [url=link:FPGA|0]FPGA[/url] 用作驱动器的情况,而且交换迹线可使得在其他器件或连接器上的 PCB 布线更容易。

        ……

         点击此处,查看全文                   如欲了解更多赛灵思技术文档,请访问http://china.xilinx.com/china/documentation/
记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表