首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

VHDL结构体的行为描述法

VHDL结构体的行为描述法

所谓结构体的行为描述(behavioral descriptions),即对设计实体按算法的路径来描述。行为描述在EDA工程中称为高层次描述或高级描述,原因有以下两点:

(1)实体的行为描述是一种抽象描述,而不是某一个器件,对电子设计而言,是高层次的概括,是整体设计功能的定义,所以称为高层次描述。

(2)从计算机领域而言,行为描述和高级编程语言类似,所以计算机业内人士通常称之为高级描述。

当用顺序执行结构体的行为描述时,设计工程师可为实体定义一组状态时序机制,不需要互连表,无须关注实体的电路组织和门级实现,这些完全由EDA工具综合生成,设计工程师只需注意正确的实体行为、准确的函数模型和精确的输出结果。例1-6为比较器的行为描述。

【例1-6】 结构体的行为描述
LIBRARY IEEE;
USE IEEE std_logic_1164.ALL;
ENTITY comparator IS
PORT (a,b:IN std_logic_vector(7 downto 0);
g:out std_logic);
END comparator;
ARCHITECTURE behavioral OF  comparator
BEGIN
Comp:PROCESS(a,b)
BEGIN
IF a = b THEN
G <='1';
ELSE
G <='0';
END IF;
END process comp;
END behavioral;
实体的结构体采用一个简单的算法描述了实体行为,定义了实体的功能。
输入8位数a和b,若a=b,则实体输出G=1;若a≠b,则实体输出G=0。输出取决于输入条件。
进程标志comp是进程顺序执行的开始,END process comp是进程的结束。
保留字process(a,b)中,a、b为敏感表,即a、b每变化一次就有一个比较结果输出。实体输出是动态的G值,时刻代表着a、b的比较结果。
记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表