首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]请各位大侠指教

[求助]请各位大侠指教

首先谢谢指点:

请大侠看一下下边的代码段:

PROCESS(call,call_off,reset_all)
begin
 if(reset_all='1')then
  q1<='1';
  q4<='1';
  elsif(call='1') then
    q4<='1';
    q1<='0';
  elsif(call_off='1') then
   q4<='0' ;
   q1<='1';
end if;
end process ;

PROCESS(call,call_off,reset_all)
begin
 if(reset_all='1')then
  q1<='1';
  q4<='1';
  elsif(call'event and call='1') then
    q4<='1';
    q1<='0';
  elsif(call_off='1') then
   q4<='0' ;
   q1<='1';
end if;
end process ;

请问为什么下边的编译不通过,出现下列错误:

ERROR:Xst:827 - D:/CPLD/ering.vhdl line 31: Signal q1 cannot be synthesized, bad synchronous description.
ERROR: XST failed

这两条错误是什么错误啊?

也请遇到同样问题的同志帮忙顶一下!

请前辈指点,小弟不胜感激,谢谢!!

[此贴子已经被作者于2007-4-23 13:59:53编辑过]

拜托了 救救我吧 谢谢了!!

学习一下。

谢谢大侠

大侠说的对,他们不是互斥的,而且语法规定寄存器不能有ELSE(IF)语句!
返回列表