首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

跪求用VHDL语言实现十六进制到BCD码的转换

跪求用VHDL语言实现十六进制到BCD码的转换

,毕业设计中,现在需要做FPGA串口发送数据到LABVIEW,但是LABVIEW只能接受ASIC码,

找了好久没找到,向各位高手跪求用VHDL语言实现十六进制到ASIC码的转换的程序

lz可以去www.soctop.com看看,那边有VHDL语言高手。你可以问问

gei qian jiu bang ni zuo

返回列表