首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

CPLD咨询

有没有哪位大侠搞过CPLD,我咨询个问题,有个带LCD彩色显示的板子,基本电路情况是这样的,由于当初设计的局限性,使用的32位单片机引脚不够用,没有使用单片机直接和LCD相连接,而是把单片机和CPLD相连接,作为I/O扩展,再把CPLD和LCD彩色屏相连接,此时CPLD也需要用VHDL语言编程。这个板子运行正常。但是现在要换一个LCD彩色屏,两个LCD屏的接口不一样,此时LCD接口电路要重新改动,那么我想问一个问题:如果LCD接口电路改动了,那么CPLD是不是也有重新编程或者要修改以前的CPLD编程代码?谢谢各位。
返回列表