首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

modelsim仿真有关问题

modelsim仿真有关问题




//异或源文件
module xor2(x1,x2,z1);
input x1,x2;
output z1;
wire x1,x2;
wire z1;
assign z1=x1^x2;
endmodule
//testbench文件

`timescale 1ns/100ps
module xor2_tb;
reg x1,x2;
wire z1;
initial
begin
#0  x1=0;
  x2=0;
  
#10 x1=1;
  x2=0;
  
#10 x1=0;
  x2=1;
  
#10 x1=1;
  x2=1;
  
#10  $stop;
end
/*出错段落
begin
reg [2:0] i;
for(i=0;i<4;i=i+1)
  begin
   {x1,x2}=i [2:0];
   #10 $display("{x1x2} = %b,z1 = %b",{x1,x2},z1);
  end
end
*/
xor2 test
(
.x1(x1),
.x2(x2),
.z1(z1)
);
endmodule

/*
仿真结果
出错段落被注释仿真图:正常仿真结果


出错段落没有被注释掉:错误仿真结果


*/
提问:出错段落错误在哪儿?
顺便再问一下:我的modelsim :File->New->Project 没有反应,不能弹出新建项目对话框,为啥?





返回列表