首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

SMIC和Synopsys交付28纳米HKMG低功耗参考流程

SMIC和Synopsys交付28纳米HKMG低功耗参考流程

亮点:
• 在最新发布的IC Compiler II中,取得了多项技术进步,在所有关键指标方面给出了优异的质量结果:更好的性能,更小的面积和降低的功耗。
• 于SMIC 28纳米工艺中使用预验证Synopsys Lynx设计系统技术插件,加快了设计导入和完成。
中芯国际集成电路制造有限公司(简称“中芯国际”,纽约证交所股票代码:SMI,香港联交所股票代码:981),中国内地规模最大、技术最先进的集成电路晶圆代工企业,与Synopsys有限公司(NASDAQ: SNPS)今日共同宣布,其联合28纳米RTL-to-GDSII参考设计流程已完成推向市场的准备。这款针对28纳米高介电金属栅极工艺技术(HKMG)而开发的流程,由中芯国际和Synopsys深度合作研发完成。该流程基于 Synopsys公司的Galaxy™设计平台,采用了IC Compiler™ II布局及路由解决方案、Design Compiler®图像综合、StarRC™提取解决方案、PrimeTime®结束解决方案、以及IC验证器物理验证。
IC Compiler II已在数以百计的设计应用中采用,它能解决目前高度敏感的市场投放时间需求,提供了优异的结果质量,显著的生产率提升,设计规划速度提高了10倍,执行速度提升了5倍,容量提升了2倍。参考流程由于采用了行业标准IEEE-1801 UPF(统一功率格式)功率意图,支持低功耗技术,如功率监控时钟树综合、功率门控和物理优化。通过采用参考流程,设计人员能够提高性能、功率效率和芯片密度,同时实现可预测的设计闭合。
对于SMIG 28纳米HKMG工艺,Lynx技术插件拓展了参考流程,与Synopsys的Lynx设计系统一起,加快了设计导入和闭合,这是一种全芯片设计环境,提供了新颖的自动化和可视化特性。该插件包含额外的工艺技术信息,以及有代表性的流程和工具设置,有助于降低所需的时间,实现优化的设计结果。
“设计人员需要能够处理高性能和低功耗需求的参考流程,”SMIC设计服务方面的资深副总裁汤天申如是说道,“随着 SMIC-Synopsys 28纳米参考流程”的发布,IC设计人员能够加快能够加快其设计投入生产的速度,它结合了SMIC的28纳米High-K金属栅极技术和Synopsys公司技术领先和的设计和IP解决方案。”
“双方客户始终位于创新的最前沿,” Synopsys有限公司设计部门市场营销副总裁Bijan Kiani说道,“通过我方与SMIC的合作,我们提供了可靠的高性能、低功耗参考流程及Lybx技术插件,采用了我方行业领先的多种工具,包括IC Complier II,加快了设计闭合进程,促进了SMIC 28纳米制造工艺的就绪步骤。”
可用性
SMIC-Synopsys 28纳米参考流程现可从SMIC处获得。
返回列表