首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Error: Node instance "comb_4" instantiates undefined entity &quo

Error: Node instance "comb_4" instantiates undefined entity &quo

新手请教如何对verilog源文件进行编译并看出结果?
我用的是quartus 4.0,新建工程并加入源文件后编译总是不成功。出现提示
Error: Node instance  instantiates undefined entity verilog


我试验用的代码如下
module TestTask(A1,B1,out1,out2);
   input A1,B1;
   output out1,out2;
   reg out1;
   getValue(A1,B1,out1);
   assign out2=A1|B1;
  
   task getValue;
       input a1,b1;
       output c1;
       c1=a1&b1;
   endtask
endmodule

Error: Node instance  instantiates undefined entity verilog
提示说你没有定义实体verilog,

你将TestTask的地方改成verilog就可以了,你可能也是这么想的吧。你建的工程名要和你的top实体名一样。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm
返回列表