首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我是菜鸟,帮我看看是什莫原因出错了?

你在保存这个文件的时候,它的文件名得是ch1.vhd,就是得和你的实体名一样
爱老婆
同意二楼
这家伙很懒,什么都没有留下。

我是菜鸟,帮我看看是什莫原因出错了?

原程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ch1 is port( pin48: in std_logic; pin7: out std_logic); end ch1; architecture a of ch1 is begin pin7<=pin48; end a; 错误如下: Error:lin1:File d:\untitled2.vhd:vhdl design file "untitled2"must contain an entity of the same name 谢谢! [em18]
我爱的和爱我的
返回列表