首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

如何用verilog实现从100个数中取出最大数?不用for循环。

如何用verilog实现从100个数中取出最大数?不用for循环。

如何用verilog实现从100个数中取出最大数?不用for循环。怎么办?为什么不能用for循环呢?请高手指教

for循环是不能综合的,是不能生成rtl代码的,、、

楼主可以通过状态机的方法实现for功能查找,比较然后找出最大的值。

其实找最大的值就是比较2进制中谁的最高位大,挨着比较就可以找出来。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm
返回列表