首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]FPGA产生3种波形VHDL程序

[求助]FPGA产生3种波形VHDL程序

我的毕业论文是用单片机和FPGA产生3种波形!!

我想的是这样的单片机输出频率控制字 和3种波形选择信号

可是FPGA怎么产生3种波形呢 我知道用DDS 可是我网上找到的都是发生一个正弦波的

有没有能同时产生3种波形的 谢谢了 我要VHDL源程序!!万分谢啊~!

返回列表