首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

FPGA内部赋值和外部IO输入问题

FPGA内部赋值和外部IO输入问题

我在FPGA内部给变量赋值,作为一个模块的判断信号,系统运行正常。但是在外部用IO输入,给一个变量赋值,也是作为该模块的判断信号,系统不能工作。
大牛帮忙解答下啊
返回列表