首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: VERILOG

  版块 作者 回复/查看 最后发表
Verilog写的LCD驱动程序 FPGA/CPLD可编程逻辑 cy_allen 2008-10-27 0 / 1168 cy_allen 2008-10-27 21:44
如何用verilog实现从100个数中取出最大数?不用for循环。 FPGA/CPLD可编程逻辑 lisa1027 2008-9-27 1 / 1759 caopengly 2008-10-6 20:15
[推荐]Verilog 数字系统设计教程 FPGA/CPLD可编程逻辑 凌宵子 2008-10-5 1 / 980 caopengly 2008-10-6 20:07
[求助]问一个verilog 延时的问题,有知道的请给我指点一下,很感激! FPGA/CPLD可编程逻辑 lylyly 2006-8-25 10 / 3226 straw 2008-9-13 22:21
Verilog HDL语言在FPGA/CPLD开发中的应用 pengyoubieku 2008-9-6 3 / 1403 lulu_0509 2008-9-8 18:30
求FPGA控制SAA7113的verilog代码 FPGA/CPLD可编程逻辑 xulibin2008 2008-8-25 4 / 1955 xulibin2008 2008-9-3 23:35
UART,verilog的串口问题求助! yaa 2006-10-27 8 / 2394 kidhz3592 2008-8-27 13:31
一种基于移位寄存器的CAM的Verilog HDL实现 pengyoubieku 2008-8-25 2 / 1109 pengyoubieku 2008-8-25 22:17
使用Verilog实现基于FPGA的SDRAM控制器 pengyoubieku 2008-8-18 4 / 1321 pengyoubieku 2008-8-18 23:00
VERILOG HDL语法指导 zanz84 2008-3-16 3 / 1207 vfdff 2008-8-18 11:55
用Verilog HDL实现I2C总线功能 pengyoubieku 2008-8-11 3 / 1306 pengyoubieku 2008-8-11 21:34
fft的verilog FPGA/CPLD可编程逻辑 xi_1948 2008-7-13 5 / 1938 huxiaokai2005 2008-8-2 00:52
Verilog 写顶层模块时出现错误 sunjie19840522 2007-10-3 2 / 2353 gyuinyg 2008-8-1 20:38
[求助]除法器的verilog代码或c代码 20080721 2008-7-21 2 / 2653 pengyoubieku 2008-7-26 16:43
Verilog数字系统设计教程 FPGA/CPLD可编程逻辑 zl6659 2008-5-26 3 / 1167 wzz123 2008-7-26 10:50
关于verilog创建rom得问题 liuyang42082 2008-7-19 1 / 1586 pengyoubieku 2008-7-20 13:52
请问有没有Verilog的QQ群? FPGA/CPLD可编程逻辑 smellybb 2006-12-28 10 / 3111 zhanglixue 2008-7-15 15:50
[求助]求FFT的Verilog代码 FPGA/CPLD可编程逻辑 pengxgen 2008-7-8 1 / 1360 caopengly 2008-7-8 22:23
一段交织编码的verilog程序 godjohsn 2008-7-2 1 / 1613 pengyoubieku 2008-7-6 12:50
怎样用verilog定制rom? 综合技术交流 tonghaoran 2008-7-2 1 / 1901 tonghaoran 2008-7-2 18:43
寻找基于FPGA的FFT实现代码,用来研究做毕设,VHDL,Verilog均可 FPGA/CPLD可编程逻辑 zylw516565 2008-5-2 2 / 1439 vwta 2008-6-23 16:09
[求助][原创]verilog在quartus2下的编译! mfkshai 2008-6-14 1 / 1134 kzw 2008-6-17 09:30
编辑Verilog用什么软件最好呢 feifei879546213 2008-6-10 1 / 2866 pengyoubieku 2008-6-13 22:32
eda开发工具 Verilog哪种开发工具仿真最好用? djl1018 2008-6-11 1 / 1787 pengyoubieku 2008-6-13 22:31
edk中怎么加入verilog的ip呢 newyyboy 2008-6-11 1 / 1274 pengyoubieku 2008-6-13 22:27
一个用Verilog实现PWM硬件的开发实例 FPGA/CPLD可编程逻辑 caopengly 2008-3-1 2 / 1434 yashiro 2008-6-5 00:45
分享[Verilog Coding For Logic Synthesis] FPGA/CPLD可编程逻辑 oaktwig1001 2008-2-28 1 / 1217 yashiro 2008-6-5 00:44
[求助]使用verilog行为级描述编写32*32点阵式LCD驱动器 FPGA/CPLD可编程逻辑 qeboluo 2008-6-3 1 / 1136 caopengly 2008-6-4 14:06
VHDL与Verilog DHL tanglu5003328 2008-5-31 2 / 1133 thanksat 2008-6-2 09:37
推荐一本学习verilog的书 FPGA/CPLD可编程逻辑 i30022830126 2008-5-27 1 / 919 zmz1983 2008-5-29 15:35
求助:用verilog测试XilinxIP核中的除法器无法仿真 ksfblc 2008-5-28 2 / 1530 ksfblc 2008-5-28 22:47
求助:verilog测试xilinxIP里的除法器无法仿真 FPGA/CPLD可编程逻辑 ksfblc 2008-5-28 0 / 916 ksfblc 2008-5-28 21:00
[求助]EP1C6Q240C8的正弦波Verilog程序,急啊。。 FPGA/CPLD可编程逻辑 raphaelyue 2008-5-22 2 / 1242 raphaelyue 2008-5-23 12:49
求用基于fpga的交流电压表设计的verilog实现。(ACD0809) FPGA/CPLD可编程逻辑 wilmdra 2008-5-17 5 / 1423 wilmdra 2008-5-21 13:05
Verilog_golden中文版 FPGA/CPLD可编程逻辑 zhuhuren 2008-5-20 1 / 957 特比特 2008-5-20 23:24
谁有turbo码的译码器的verilog代码!!! FPGA/CPLD可编程逻辑 winnie-dog 2008-5-20 0 / 972 winnie-dog 2008-5-20 19:48
verilog HDL 的阻塞和非阻塞语句分析 FPGA/CPLD可编程逻辑 record 2008-5-16 1 / 1108 no2rain 2008-5-17 12:25
求QUARTUSII 和 Verilog HDL有用中文 资料 FPGA/CPLD可编程逻辑 chenms 2008-5-17 1 / 947 caopengly 2008-5-17 09:45
基于Verilog HDL的CMOS图像敏感器驱动电路设计 pengyoubieku 2008-5-15 3 / 1083 pengyoubieku 2008-5-15 14:32
新手求救Verilog问题 FPGA/CPLD可编程逻辑 sn0ww0lf 2008-5-15 0 / 752 sn0ww0lf 2008-5-15 14:06