首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: quartus

  版块 作者 回复/查看 最后发表
请教:Quartus波形仿真中的浮点数(十六进制Hex)转换成十进制小数(Fractional) FPGA/CPLD可编程逻辑 czjkk@163.com 2009-5-14 2 / 4276 ww1921 2015-1-18 12:55
[下载]应求,详细quartus中文使用说明! ... 1 2 3 4 5 6 .. 20 FPGA/CPLD可编程逻辑 caopengly 2007-1-21 296 / 63483 stzjty 2013-11-20 23:30
quartus设计编译三步骤 zbhyn 2008-5-28 11 / 6616 stzjty 2013-11-20 23:25
QUARTUS_II_视频教程 ... 1 2 3 4 5 6 .. 10 sha_ou13 2008-2-5 137 / 30010 hchvip 2012-12-14 22:26
[分享][下载]资料分享:quartus Ⅱ 7.2 中文教程 ... 1 2 3 FPGA/CPLD可编程逻辑 lbgy 2008-11-19 34 / 7280 mega2008 2012-5-9 20:46
[下载]Quartus_II中Tsu_Tco约束方法 bjxiong 2006-6-7 5 / 2718 jsongyan 2012-3-13 10:52
请问在quartus sopc中如何添加自定义组件,最好给一个实例 ... 1 2 jiayue198509 2008-12-1 20 / 10591 netic8 2012-2-25 20:55
[下载]QUARTUS使用手册中文.pdf FPGA/CPLD可编程逻辑 wq55564835 2008-1-18 8 / 4973 yanxiang19630 2012-1-10 10:21
quartus 8.0 破解三合一下载 ... 1 2 3 4 5 6 PCB综合技术 shebailu 2008-11-27 82 / 10834 WJYin1989 2012-1-5 15:09
Quartus II 9.0 下载地址及license FPGA/CPLD可编程逻辑 cjfwindy 2010-4-18 2 / 3082 cjfwindy 2011-11-22 21:21
请教各位高手!我在Quartus内采用电路图输入的方法!!总线与各单线的连接!! FPGA/CPLD可编程逻辑 ljp 2003-8-26 2 / 4032 zxdsopc 2010-12-17 15:17
求解使用quartus的一个小问题 FPGA/CPLD可编程逻辑 lucas121 2010-12-16 0 / 799 lucas121 2010-12-16 11:07
嵌入式QUARTUS II 9.0在综合分析时产生如下错误信息,请问如何处理? 嵌入式技术 zahngxin 2010-10-2 0 / 1845 zahngxin 2010-10-2 15:12
quartus 不错的入门方法,10分钟开发走一遍 ... 1 2 3 4 cgzh2007 2007-10-10 51 / 13293 tracybetty 2010-9-15 18:05
Quartus常见问题分析 嵌入式技术 luoman 2010-8-6 0 / 1009 luoman 2010-8-6 09:08
关于quartus仿真的波形输入的问题 FPGA/CPLD可编程逻辑 刘娜 2010-8-3 0 / 1511 刘娜 2010-8-3 15:39
求教,关于quartus 的SOPC zst221000 2010-3-25 3 / 2842 dstic 2010-7-21 11:07
求助:quartus 5.0 license以及nios2 5.0的安装包 shxdzf 2010-4-14 1 / 2296 icanfly 2010-4-15 16:25
关于quartus 里面的RAM使用方法 FPGA/CPLD可编程逻辑 zst221000 2010-3-29 0 / 2363 zst221000 2010-3-29 23:00
〔求助〕quartus工程中利用sopc 生成自定义模块,关于中断信号出现slack值为负问题? babesisterzhang 2010-3-17 0 / 2765 babesisterzhang 2010-3-17 18:58
[求助]谁有quartus 5.1 nios核和ip核无限制sof文件的license jybertrand 2009-3-29 2 / 3670 adadwer 2010-2-27 12:56
quartus ii的一些破解,需要的来下载 FPGA/CPLD可编程逻辑 zhangkai0215 2009-7-13 1 / 1798 lotus991 2010-2-5 16:45
quartus II 7.2 的补丁文件 ... 1 2 3 4 5 FPGA/CPLD可编程逻辑 nkhare 2007-12-25 74 / 8313 lotus991 2010-2-5 16:43
[下载]quartus 7.2 sp1 破解文件 ... 1 2 3 4 5 6 .. 7 FPGA/CPLD可编程逻辑 flanix 2008-3-1 101 / 17384 lotus991 2010-2-5 16:40
Quartus II 9.0 下载地址及license FPGA/CPLD可编程逻辑 cjfwindy 2010-1-23 1 / 2015 lotus991 2010-2-5 15:57
[下载]经验点滴--QUARTUS及其FPGA的外围电路 ... 1 2 3 bjxiong 2006-6-5 38 / 10877 zzq_3012 2010-1-8 09:04
求助:ise有类似于quartus的 In system Memory check的工具吗? zishan 2009-11-12 0 / 1617 zishan 2009-11-12 20:18
quartusⅡ软件学习教程 FPGA/CPLD可编程逻辑 higildedzest 2008-5-12 5 / 1949 ximoren 2009-11-12 11:33
Quartus II下查看寄存器的问题 lzy5193 2009-11-1 0 / 2768 lzy5193 2009-11-1 17:00
Quartus II6.0安装手册 FPGA/CPLD可编程逻辑 Stoneway 2006-11-12 4 / 5730 woshiwc88 2009-10-30 20:57
求Quartus II 4.1啊? FPGA/CPLD可编程逻辑 luo23 2009-9-20 1 / 1212 orc_shrek 2009-9-24 10:53
Quartus_II_7.1_SP1破解器 sjzaly 2008-3-19 9 / 2811 jihengzhang 2009-8-12 16:04
quartus ii 8.0 sp1 破解工具 FPGA/CPLD可编程逻辑 airman21 2008-11-5 8 / 3333 victor2009 2009-7-3 11:01
Quartus II 7.1 License ... 1 2 3 4 jxls378816 2007-10-25 57 / 13311 yumuzi 2009-6-12 11:13
Quartus II7.1 lisence 生成器 ... 1 2 3 4 5 6 .. 18 yage1981 2007-6-11 266 / 40191 yumuzi 2009-6-12 11:12
[下载]应求~Quartus II 7.0 License~ ... 1 2 3 4 5 6 .. 12 FPGA/CPLD可编程逻辑 zddx 2007-4-11 169 / 27631 hitren16 2009-6-9 21:39
Nios 和Quartus 中的宏模块结合 FPGA/CPLD可编程逻辑 zhlwang 2009-5-25 0 / 1182 zhlwang 2009-5-25 21:51
Quartus II 使用入门10分钟 FPGA/CPLD可编程逻辑 gillqianqian 2009-1-17 4 / 1410 leeouman 2009-4-28 13:22
quartus II中怎么生成其他工程可调用的*.bsf sunfred 2007-1-16 5 / 4804 guoyunfeng1986 2009-4-21 22:44
quartus ii 7.2 sp3 我正用的lisence。 FPGA/CPLD可编程逻辑 airman21 2008-10-6 2 / 1695 lsf446677 2009-4-7 16:10