首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: verilog

  版块 作者 回复/查看 最后发表
Verilog写的LCD驱动程序 FPGA/CPLD可编程逻辑 cy_allen 2008-10-27 0 / 1165 cy_allen 2008-10-27 21:44
如何用verilog实现从100个数中取出最大数?不用for循环。 FPGA/CPLD可编程逻辑 lisa1027 2008-9-27 1 / 1746 caopengly 2008-10-6 20:15
[推荐]Verilog 数字系统设计教程 FPGA/CPLD可编程逻辑 凌宵子 2008-10-5 1 / 963 caopengly 2008-10-6 20:07
[求助]问一个verilog 延时的问题,有知道的请给我指点一下,很感激! FPGA/CPLD可编程逻辑 lylyly 2006-8-25 10 / 3156 straw 2008-9-13 22:21
Verilog HDL语言在FPGA/CPLD开发中的应用 pengyoubieku 2008-9-6 3 / 1387 lulu_0509 2008-9-8 18:30
求FPGA控制SAA7113的verilog代码 FPGA/CPLD可编程逻辑 xulibin2008 2008-8-25 4 / 1937 xulibin2008 2008-9-3 23:35
UART,verilog的串口问题求助! yaa 2006-10-27 8 / 2370 kidhz3592 2008-8-27 13:31
一种基于移位寄存器的CAM的Verilog HDL实现 pengyoubieku 2008-8-25 2 / 1099 pengyoubieku 2008-8-25 22:17
使用Verilog实现基于FPGA的SDRAM控制器 pengyoubieku 2008-8-18 4 / 1312 pengyoubieku 2008-8-18 23:00
VERILOG HDL语法指导 zanz84 2008-3-16 3 / 1169 vfdff 2008-8-18 11:55
用Verilog HDL实现I2C总线功能 pengyoubieku 2008-8-11 3 / 1286 pengyoubieku 2008-8-11 21:34
fft的verilog FPGA/CPLD可编程逻辑 xi_1948 2008-7-13 5 / 1883 huxiaokai2005 2008-8-2 00:52
Verilog 写顶层模块时出现错误 sunjie19840522 2007-10-3 2 / 2339 gyuinyg 2008-8-1 20:38
[求助]除法器的verilog代码或c代码 20080721 2008-7-21 2 / 2646 pengyoubieku 2008-7-26 16:43
Verilog数字系统设计教程 FPGA/CPLD可编程逻辑 zl6659 2008-5-26 3 / 1148 wzz123 2008-7-26 10:50
关于verilog创建rom得问题 liuyang42082 2008-7-19 1 / 1564 pengyoubieku 2008-7-20 13:52
请问有没有Verilog的QQ群? FPGA/CPLD可编程逻辑 smellybb 2006-12-28 10 / 3063 zhanglixue 2008-7-15 15:50
[求助]求FFT的Verilog代码 FPGA/CPLD可编程逻辑 pengxgen 2008-7-8 1 / 1341 caopengly 2008-7-8 22:23
一段交织编码的verilog程序 godjohsn 2008-7-2 1 / 1602 pengyoubieku 2008-7-6 12:50
怎样用verilog定制rom? 综合技术交流 tonghaoran 2008-7-2 1 / 1881 tonghaoran 2008-7-2 18:43
寻找基于FPGA的FFT实现代码,用来研究做毕设,VHDL,Verilog均可 FPGA/CPLD可编程逻辑 zylw516565 2008-5-2 2 / 1424 vwta 2008-6-23 16:09
[求助][原创]verilog在quartus2下的编译! mfkshai 2008-6-14 1 / 1111 kzw 2008-6-17 09:30
编辑Verilog用什么软件最好呢 feifei879546213 2008-6-10 1 / 2840 pengyoubieku 2008-6-13 22:32
eda开发工具 Verilog哪种开发工具仿真最好用? djl1018 2008-6-11 1 / 1775 pengyoubieku 2008-6-13 22:31
edk中怎么加入verilog的ip呢 newyyboy 2008-6-11 1 / 1263 pengyoubieku 2008-6-13 22:27
一个用Verilog实现PWM硬件的开发实例 FPGA/CPLD可编程逻辑 caopengly 2008-3-1 2 / 1420 yashiro 2008-6-5 00:45
分享[Verilog Coding For Logic Synthesis] FPGA/CPLD可编程逻辑 oaktwig1001 2008-2-28 1 / 1172 yashiro 2008-6-5 00:44
[求助]使用verilog行为级描述编写32*32点阵式LCD驱动器 FPGA/CPLD可编程逻辑 qeboluo 2008-6-3 1 / 1120 caopengly 2008-6-4 14:06
VHDL与Verilog DHL tanglu5003328 2008-5-31 2 / 1115 thanksat 2008-6-2 09:37
推荐一本学习verilog的书 FPGA/CPLD可编程逻辑 i30022830126 2008-5-27 1 / 906 zmz1983 2008-5-29 15:35
求助:用verilog测试XilinxIP核中的除法器无法仿真 ksfblc 2008-5-28 2 / 1519 ksfblc 2008-5-28 22:47
求助:verilog测试xilinxIP里的除法器无法仿真 FPGA/CPLD可编程逻辑 ksfblc 2008-5-28 0 / 904 ksfblc 2008-5-28 21:00
[求助]EP1C6Q240C8的正弦波Verilog程序,急啊。。 FPGA/CPLD可编程逻辑 raphaelyue 2008-5-22 2 / 1227 raphaelyue 2008-5-23 12:49
求用基于fpga的交流电压表设计的verilog实现。(ACD0809) FPGA/CPLD可编程逻辑 wilmdra 2008-5-17 5 / 1392 wilmdra 2008-5-21 13:05
Verilog_golden中文版 FPGA/CPLD可编程逻辑 zhuhuren 2008-5-20 1 / 933 特比特 2008-5-20 23:24
谁有turbo码的译码器的verilog代码!!! FPGA/CPLD可编程逻辑 winnie-dog 2008-5-20 0 / 956 winnie-dog 2008-5-20 19:48
verilog HDL 的阻塞和非阻塞语句分析 FPGA/CPLD可编程逻辑 record 2008-5-16 1 / 1091 no2rain 2008-5-17 12:25
求QUARTUSII 和 Verilog HDL有用中文 资料 FPGA/CPLD可编程逻辑 chenms 2008-5-17 1 / 928 caopengly 2008-5-17 09:45
基于Verilog HDL的CMOS图像敏感器驱动电路设计 pengyoubieku 2008-5-15 3 / 1071 pengyoubieku 2008-5-15 14:32
新手求救Verilog问题 FPGA/CPLD可编程逻辑 sn0ww0lf 2008-5-15 0 / 739 sn0ww0lf 2008-5-15 14:06