首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[原创]FPGA/CPLD系列实验教程:实验一点亮LED

入门挺好,不过如果手动绑定管脚,要先编译,在绑定,再编译。建议用脚本文件。
爱,不是激情的随口
  
        情,不是瞬间的感动
返回列表