首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问CPLD如何读写SRAM?有没有VHDL示例?

Altera公司好像免费提供SRAM Controller IP.自己只需要写控制这个Controller的代码.
不过要写好也不是很简单,我一个同事正在写这个,写好了把框图贴上来给大家参考下.源代码可能就不能提供了,公司不允许.

大浪淘沙
留下的全是金子
返回列表