首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]这段检测有无触发信号的程序为什么不行啊

你的逻辑很乱呀。

真诚让沟通更简单! QQ:767914192

if trigger'event and trigger='1' then

用这个来检测信号的上升沿不好呀。这种方式一般是用来描述时钟的上升沿的。

真诚让沟通更简单! QQ:767914192

检测上升沿这样做:

triggerbuf <= trigger;

triggerrise <= trigger and (not triggerbuf);

然后

if i = 5000000 then

if triggerrise = '1' then

endisp <= '1';

else

endisp <= '0';

end if;

end if;

真诚让沟通更简单! QQ:767914192

尽量不要用变量,应用信号。

真诚让沟通更简单! QQ:767914192
返回列表