首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问VHDL语言中表达式clk'event是什么意思?

‘event是一种信号属性,表示当‘前面的信号发生改变时 如 clk’event表示当CLK发生改变时,这种改变可能是时钟由高变低,可能是时钟由低变高,看你后面怎么写了!
返回列表