首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[推荐]赛灵思技术库 - 虚拟课堂

求教:我看到一些资料上说Coolrunner2的时钟管理很好,有分频、倍频、cool clodk,双沿触发等。
我现在用一款XC2C128的芯片,请问,我能否通过一个外部的40M的时钟,输出一个80M的时钟,
以驱动一个80M的AD芯片呢?我用双沿触发也只能得到40M的时钟。
请不吝指教。多谢了。
返回列表