首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请帮忙找下verilog写的一个简单测试程序的错误.

`timescale 1ns/1ns

module compare_test();
reg [3:0] ta,tb;
wire tf;

compare_one cm(tf,ta,tb);

parameter step=100;

initial
begin
ta=0;tb=0;
#step ta=4'd5;
#step tb=4'd6;
#step ta=4'd6;
#step ta=4'd7;
#step tb=4'd8;
#step $stop;
end
endmodule
陈石亮
返回列表