首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求救 信号仿真老是出错

对row的赋值就只有

p2:process (clk2)
begin
if rising_edge(clk2) then
row<=row+'1';
end if;
end process p2;

你这段程序没有问题,只是不严密,没有初始值。

加入

if reset='0' then

//你的代码

else

//设初值

end if;

我建议将同步信号rising_edge(clk2)放在最外面。

你再试试吧。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm
返回列表