首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ISE结合MODELSIM;ISE8.1问题专区!

我用的的是ise8.1,我最近要开发个有关sdram的控制器的项目,我从网上down了个参考设计,
我现在调用它,但是好象出来的接口不对,我不知道是不是调用的方法不对?
我的方法projiect->newsource->ip
我不知道ip文件的后缀是什么好象是.xco,但是我在下载的sdram文件中没有找到这个文件,请大家给我指点,谢了
我是不是要把现在的sdram文件做成ip文件然后才能调用?
返回列表