首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

谁教我用Modelsim等工具仿真8051的IP核啊(做毕业设计,急啊!)

谁教我用Modelsim等工具仿真8051的IP核啊(做毕业设计,急啊!)

谁教我用Modelsim等工具仿真8051的IP核啊。我现在做毕业设计,急啊!


我也是刚接触,只是毕业设计需要,所以现在比较茫然,也很急,希望各位帮帮忙。


告诉我们具体步骤怎么做

谢谢,你可不可以介绍一下仿真的步骤
哪位高手可不可以提供一个简单一点的IP核,给我练练手啊。告诉我怎么做?谢谢了
1、我将所有的源文件和testbench文件添加到project之后,进行编译全部:
# 70 compiles, 0 failed with no errors. 全部顺利通过。

2、选择顶层testbench进行仿真:vsim work.tb_mc8051_top,命令窗口的最后几行有这些提示:
# ** Error: (vsim-7) Failed to open VHDL file "mc8051_rom.dua" in rb mode.
# No such file or directory. (errno = ENOENT)
# Time: 0 ns Iteration: 0 Instance: /tb_mc8051_top/i_mc8051_top/i_mc8051_rom
# Loading work.mc8051_ramx(sim)

3、查看波形,并选择run-all,看不到波形。

---------------------------------------
请各位帮忙看看


--
返回列表