首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[讨论]请问在Q2中怎么新建testbench

[讨论]请问在Q2中怎么新建testbench

请问在Q2中怎么新建testbench?我用的是Q2,请问我要用testbench验证该怎么办?

FPGA内的布局布线有什么规则啊?求求路过的人告诉我

testbench也是.vhd格式吗?或者说怎么新建testbench文件啊?

FPGA内的布局布线有什么规则啊?求求路过的人告诉我
返回列表