
- UID
- 14616
- 性别
- 男
|
ise 7.1里用y<="zzz",竟然编译不过,大家给看看吧,想不明白了,CPLD接51总线上的AD2,AD1,AD0,在地址为“011”时,读a,b,c到总线上,为“100”时读d到总线上,读信号结束之后,是不是一定将总线变为高阻呀,如果不变不是要影响总线吗? Data : inout std_logic_vector(2 downto 0); ADD : inout std_logic_vector(2 downto 0); Process(ALE_CPU) begin if ALE_CPU'event AND (ALE_CPU='0') then ADD <= Data; end if; end Process ; Process(nRD) begin if falling_edge(nRD) then if ADD="011" then Data <= A_IN&B_IN&C_IN; elsif ADD="100" then Data <= "00" & D_IN; end if; elsif rising_edge(nRD) then DATA <= "ZZZ"; end if; end Process; 我刚用ISE7.1,为什么去掉DATA <="zzz",编译过的,加上就过不去呢?如果不行, |
| |
|