我这还有一个小程序,下面这个小程序段只是一个分频。但是编译总报错:error:line 22:file ......timer.vhd:unsupported feature error:non-locally-static attribute names supported.---不支持的特征错误:本地的静态属性名称不支持。 请指教。
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
entity timer is port(clk:in std_logic; s100 ut std_logic); end timer;
architecture arch of timer is signal xishu120000:std_logic_vector(16 DOWNTO 0); signal s100s:std_logic; begin
fenpin:process(clk) begin if(clk'event and clk<='1')then xishu120000<=xishu120000+"1"; elsif(xishu120000<="11101010011000000")then xishu120000<="00000000000000000"; s100s<=not s100s; end if;
s100<=s100s; end process; end arch;
|