首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请教下面的VHDL代码,为什么地址线连不上???

    process ( clk ) begin
        if ( clk'event and clk = '1' ) then
            if ( xishu120000 = "11101010011000000") then
                xishu120000<="00000000000000000";
            else   
                xishu120000<=xishu120000+"1";            
            end if ;
        end if ;
    end process ;
返回列表