抄书上的一个8分频的例子: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity clk_8d is port(clk:in std_logic; rst:in std_logic; signal clk_d ut std_logic); end clk_8d; architecture one of clk_8d is signal count:std_logic_vector(1 downto 0); begin process(rst,clk) begin if(rst='0')then clk_d <= '0'; count(1 downto 0)<="00"; else if (clk'event and clk='1')then count(1 downto 0)<=count(1 downto 0)+"01"; if(count(1 downto 0) = "11")then clk_d<=not clk_d; else null; end if; end if; end process; end one; 出现如下错误: 在process附近(最后一个process)出现语法错误:expecting a sequential statement 哪位高手帮我解答一下,小弟万分感谢 |