首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]请教达人verilog编写sram时如何将avalon时序转换成sram的时序

[求助]请教达人verilog编写sram时如何将avalon时序转换成sram的时序

]请教达人verilog编写sram(需要在sopc中添加的外接sram,片上memory不够因此需要用verilog编写以sram模块以便在sopc中通过用户自定义commponent中加入)时如何将avalon时序转换成sram的时序
返回列表