lsy810809 当前离线
注册会员
...
A : in std_logic_vector(9 downto 0);
B : in std_logic_vector(9 downto 0);
S : out std_logic_vector(19 downto 0);
s <= A*B;
应该可以把
TOP