首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助][讨论]怎样用VHDL实现倍频功能啊?

[求助][讨论]怎样用VHDL实现倍频功能啊?

我想把频率放大128倍,怎么办???

我用了乘法器,可以吗

我的是64K放大到8192k,用乘法可以吗

64*128

您的意思是再引用一个频率是吗??

返回列表