首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助各位大侠一个vhdl的具体问题。

是呀,你怎么可能在一个跳变沿监测另外一个跳变沿呢,实际情况下这也是不可能的!vhdl只是描述实际电路的一种方式,所以描述的内容首先必须是可以实现的,只不过是用vhdl表述出来而已!
我是天堂的使者,向我倾诉吧
返回列表