首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助各位大侠一个vhdl的具体问题。

你的这种process嵌套是不符合VHDL语法规则的               
        你想做什么呢,一定要这样做吗?直接判断               
        if clk'event and clk = '1' then               
        ????if en = '1' then               
        不行吗?
返回列表