首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我想在两个输入信号的上升沿对同一信号赋值,该怎么办?

毛毛雨,简单拉,把这两个信号做成一个state machine, 再由state machine 来控制。
先把2个输入信号合并成一个,比如你把需要用的第一个信号的上升沿和第二个信号的上升沿合成一个先上升再下降的信号,然后可以用这个信号(的上升沿和下降沿)作为敏感信号了。
用状态机可以很好的解决呀
返回列表