我想在两个输入信号的上升沿对同一信号赋值,该怎么办?

- UID
- 76046
- 性别
- 男
|
不可能。
只能想想等效或变通的手段,说说你的具体要求。 |
|
|
|
|
|

- UID
- 76046
- 性别
- 男
|
几乎是不可能!
很多错误都是因为在两个进程里对同一个信号赋值产生的。你可以把两个信号同时加到一个进程的条件中去。 |
|
|
|
|
|

- UID
- 76046
- 性别
- 男
|
根据你的说法,给你做了
library ieee;
use ieee.std_logic_1164.all;
entity dp is
port
(one,zero:in std_logic;
output:buffer std_logic);
end;
architecture arch of dp is
signal clk:std_logic;
signal cho:std_logic;
begin
p1:process
begin
if cho='0' then clk<=one;
else clk<=zero;
end if;
end process p1;
p2:process(clk)
begin
if rising_edge(clk) then cho<=not cho;
end if;
end process p2;
output<=cho;
end arch; |
|
|
|
|
|