首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我的4选一程序在MAX-PLUSII中CASE 语句编译错误请大虾帮忙,谢谢!

不是你的case有问题,是variable tmp :integer; 这条语句有问题,integer 数据超过了32位,所以case不再支持了,你可以改成variable tmp :integer range 0 to 15 ;
如果我说错了,请你直接写信给我,提醒我的错误。kenail2002@163.com
返回列表