首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

【求助】这样if和case 的嵌套有什么问题啊~

如果process里面改为
        com:process(spe,current_state,reset)
        begin
                if reset'event and reset='1' then
                        next_state<=s3;
                        en<='0';
                elsif current_state = s0 then en <='0';
                                if spe='1' then next_state<=s0;
                                else next_s上海注册公司tate<=s1;
                                end if;
                elsif current_state = s1 then en <='1';
                                if spe='1' then next_state<=s2;
                                else next_state<=s1;
                                end if;
                elsif current_state = s2 then en <='1';
                                if spe='1' then next_state<=s2;
                                else next_state<=s3;
                                end if;
                elsif current_state = s3 then en <='0';
                                if spe='1' then next_state<=s0;
                                else next_state<=s3;
                                end if;
                end if;
        end process;
则提示
process clocking is too complex
吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖吖
返回列表