首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

矩形波的问题

delay这类的语句在vhdl里只能用于仿真,是不能综合的,要想实现实现时延必须另寻出路,上面的建议就不错。
返回列表