首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

关于VHDL程序,为什么这么简单的功能都不好实现

警告:width mismatch ...这些警告很普通的,英文看看不就懂了吗?               
width mismatch ...说总线的宽度不匹配,比如:你定义了10位宽的信号a,但只用了8位的信号b对他赋值,当然不匹配了。               
解决警告的最好办法是多试几次,比如他说你的第几行出错了,就把那一行好好看看,换个写法等等。另外一个好的编译软件必不可少,这样他的出错信息会好懂一些。       
推荐:初学者用aldec active 4.2 或 5.1,有一定功底后在自行选择软件。该软件可到www.aldec.com下载。license嘛,网上有。
返回列表