首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

基于dsp_builder的算法在FPGA上的实现(2)

基于dsp_builder的算法在FPGA上的实现(2)

2、simulink模型仿真(系统级,即算法级)  设置好参数后,运行仿真,双击scope可以看到仿真波形,如图4所示。

图4 simulink仿真波形


3、SignalCompiler使用方法  在simulink中完成仿真验证后,就需要把设计转到硬件上加以实现。这是整个DSP builder设计中最关键的一步,据此可以获得针对FPGA的VHDL RTL代码。如图5所示。

图5 SignalCompiler


4、使用modelsim进行RTL级仿真  这一步,其实是对由.mdl文件转换的VHDL文件进行仿真验证,可以通过添加TestBench组件实现。如图6所示。

图6 TestBench


  另外,Launch GUI选型,勾选的话,则直接启动modelsim进行仿真;不勾选的话,可以通过modelsim的Tools菜单下的TCL——>Execute Macro...查找工程文件夹下的sinwave_add.tcl文件进行仿真。


5、使用Quartus II实现时序仿真  上一步是功能仿真,即modelsim中的前仿真。这是进一步验证时序仿真的正确与否,即后仿真。这一步,需要说明几点:
(1)Quartus II 9.1之前的软件自带仿真组件,而之后软件不再包含此组件。
(2)可以用modelsim实现后仿真,验证时序仿真。
6、FPGA的验证  将设计下载到FPGA,进行验证。通过示波器验证波形的正确与否。
继承事业,薪火相传
返回列表