首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

关于FPGA设计成存储器的问题

关于FPGA设计成存储器的问题

我把FPGA设计成TI DSP C6713的外扩存储器,连接到DSP的EMIF上,经过测试,32位的读写是正确的,但
是当把EMFI的寄存器配置成16位时就出错了,数据既不读也不写。我用示波器检测,发现16位时不会给片
选信号,但是读、写以及地址和数据信号会有。说明:DSP总共有32根数据线,我只连接了其低16
根,设计成little-endian。先谢了。

没有问题啊!?

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm
返回列表