首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

不知道什么原因,请教高手

不知道什么原因,请教高手

各位高手,给我看看,是哪里的错误啊??


LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY testbench IS
END testbench;
这是我的程序的开头部分。
编译时出现下列错误:
Library error:primary unit "numeric_std" denoted by prefix "ieee" must exit in the library.
是不是我装的Maxplus2软件有问题啊?还是别的问题?
多谢阿!非常感谢!

返回列表