- UID
- 87797
- 性别
- 男
|
帮我看看这个程序,为什么不能这样用LOOP循环语句
怎么修改?万分谢谢!
library ieee;
use ieee.std_logic_1164.all;
entity busya is
port (R_W,D_I,DB7 : inout std_logic;
CSA,CSB : out std_logic);
end busya;
architecture busya1 of busya is
begin
l2:loop
CSA<='0';
CSB<='1';
R_W<='1';
D_I<='0';
exit l2 when DB7='0';
end loop l2;
end busya1; |
|