首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ISE中IP的modelsim仿真

ISE中IP的modelsim仿真

我现在用ISE中的IP核做了一个加法器,在仿真的时候我先将modelsim的库进行了更新,然后再进行文件的仿真,编译也通过了,但是加法器的输出在波形中始终显示为高阻状态,请问各位大侠这是怎么回事?????

QQ:691593256  愿与大家一起探讨FPGA的开发问题(请注明“FPGA交流等字样”)
返回列表