首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

大虾进来看看这个程序有警告怎么改正[求助]

大虾进来看看这个程序有警告怎么改正[求助]

[求助]大虾进来看看这个程序有警告怎么改正 这个程序编译没错,有几出警告,防真时有问题!,指点怎么去出警告,防真能进行!! library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity f_muxa is port(sel8:in std_logic_vector(3 downto 0); q8, q7, q6, q5, q4, q3, q2, q1:in std_logic_vector(3 downto 0); romoutut std_logic_vector (17 downto 0)); end f_muxa; architecture m of f_muxa is signal ss:std_logic_vector(3 downto 0); signal pp:std_logic_vector(24 downto 0); begin p1:process(sel8) begin case sel8 is when "0000" =>ss<=q1; when "0001" =>ss<=q2; when "0010" =>ss<=q3; when "0011" =>ss<=q4; when "0100" =>ss<=q5; when "0101" =>ss<=q6; when "0110" =>ss<=q7; when "0111" =>ss<=q8; when "1000" =>ss<="0000"; when "1001" =>ss<="0000"; when "1010" =>ss<="0000"; when "1011" =>ss<="0000"; when "1100" =>ss<="0000"; when "1101" =>ss<="0000"; when "1110" =>ss<="0000"; when "1111" =>ss<="0000"; when others =>ss<="0000"; end case; end process p1; p2:process(ss) begin case ss is when "0000"=>pp<="0000000000000000000000000"; when "0001"=>Pp<="0000000000000000000000000" ; when "0010"=>Pp<="1111111111111111111100100"; when "0011"=>pp<="1111111111111111111100100"; when "0100"=>pp<="0000000000000000001101000"; when "0101"=>pp<="0000000000000000001101000"; when "0110"=>Pp<="0000000000000000001001100"; when "0111"=>Pp<="0000000000000000001001100"; when "1000"=>pp<="1111111111111111100100101"; when "1001"=>pp<="1111111111111111100100101"; when "1010"=>Pp<="1111111111111111100001001"; when "1011"=>Pp<="1111111111111111100001001"; when "1100"=>pp<="1111111111111111110001101"; when "1101"=>Pp<="1111111111111111110001101"; when "1110"=>Pp<="1111111111111111101110001"; when "1111"=>Pp<="1111111111111111101110001"; when others=>PP<="000000000000000000000000"; end case; end process p2; p3: process(sel8) begin case sel8 is when "0000"=>romout(17 downto 0)<=pp(17 downto 0); when "0001"=>romout(17 downto 0)<=pp(18 downto 1); when "0010"=>romout(17 downto 0)<=pp(19 downto 2); when "0011"=>romout(17 downto 0)<=pp(20 downto 3); when "0100"=>romout(17 downto 0)<=pp(21 downto 4); when "0101"=>romout(17 downto 0)<=pp(22 downto 5); when "0110"=>romout(17 downto 0)<=pp(23 downto 6); when "0111"=>romout(17 downto 0)<=pp(24 downto 7); when "1000"=>romout(17 downto 0)<="000000000000000000"; when "1001"=>romout(17 downto 0)<="000000000000000000"; when "1010"=>romout(17 downto 0)<="000000000000000000"; when "1011"=>romout(17 downto 0)<="000000000000000000"; when "1100"=>romout(17 downto 0)<="000000000000000000"; when "1101"=>romout(17 downto 0)<="000000000000000000"; when "1110"=>romout(17 downto 0)<="000000000000000000"; when "1111"=>romout(17 downto 0)<="000000000000000000"; when others=>romout<="000000000000000000"; end case; end process p3; end m; Warning: Ignored unnecessary INPUT pin 'q80' Warning: Ignored unnecessary INPUT pin 'q70' Warning: Ignored unnecessary INPUT pin 'q60' Warning: Ignored unnecessary INPUT pin 'q50' Warning: Ignored unnecessary INPUT pin 'q40' Warning: Ignored unnecessary INPUT pin 'q30' Warning: Ignored unnecessary INPUT pin 'q20' Warning: Ignored unnecessary INPUT pin 'q10' 高人指点一下!!多谢噻!!
高手指点一下,期待高手!
在另一个论坛里帮你解决了。
返回列表