首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

如何在modelsim中仿真ram

如何在modelsim中仿真ram

我用的altera的芯片,quartus+modelsim,以前做过仿真ROM的,就是将mif文件通过quartus转换成hex文件,再修改modelsim的一个安装文件,不知道RAM的仿真是不是也是这么做
我把quartus中通过向导生成的RAM的.v文件拷贝到modelsim中不能用说是端口没有匹配,不知道还要拷贝那些文件到modelsim的工程文件夹下面,请大侠指点一下,谢谢了
ROM里的数据是你放在.HEX里的,RAM是写的。编写一个测试平台,在MODELSIM里仿真,前端弄个计数器,来控制你的读写地址~
返回列表